ハブ君の寝言

日記のような何か

Error

今日のエラー

'System.InvalidOperationException' の初回例外が System.dll で発生しました。 'System.InvalidOperationException' のハンドルされていない例外が System.dll で発生しました。以下のようなプログラムで、 データの送信が終わったときに、 すぐにファイル…

シリアルポート

Teratermの使い方がさっぱりで、COMポートによってシリアル通信で受信した文字列を保存する方法がわからない。Vectorさんところに置いてあるフリーソフトを漁ったが、460800bpsを対応しているものが殆どなく 対応していても、122MBの文字列を受信するとフリ…

今日のエラー

ERROR:MapLib:979 - LUT2 symbol "memory/LAB_DESIGN_USR/r_APP_WDF_DATA_mux0000<0>1" (output signal=memory/LAB_DESIGN_USR/r_APP_WDF_DATA_mux0000<0>) has input signal "memory/ShiftRegistar/OutData<0>" which will be trimmed. See Section 5 of th…

今日のエラー

意味分からん。 ダメだ。 ERROR:LIT:411 - IOBUFDS symbol "memory/ddr2_sdram/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/gen_dqs_iob_ddr2.u_iobuf_dqs" (output signal=memory/ddr2_sdram/u_ddr2_top_0/u_mem_if_top/u_phy_top/…

今日のエラー

ERROR:Xst:1706 - Unit <LAB_DESIGN_SEQ>: port <sig_WRFIN> of logic node <get_data_mux0000> has no source ERROR:Xst:1706 - Unit <LAB_DESIGN_SEQ>: port <sig_WRFIN> of logic node <r_write_or0000> has no source ERROR:Xst:1706 - Unit <LAB_DESIGN_SEQ>: port <sig_WRFIN> of logic node <r_read_or0000> has no …</r_read_or0000></sig_wrfin></lab_design_seq></r_write_or0000></sig_wrfin></lab_design_seq></get_data_mux0000></sig_wrfin></lab_design_seq>

なんぞこれ

Placer: Placement generated during map. Routing: Completed - errors found. Timing: Completed - 26 errors found.Number of error messages: 0 Number of warning messages: 11 Number of info messages: 3Writing design to file LAB_DESIGN.ncd PAR d…

めんどくさいから死にたい

今日のエラー ERROR:ConstraintSystem:59 - Constraint [c.ucf(33)]: NET "clk0" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constrai…

無理。

ERROR:PhysDesignRules:368 - The signal is incomplete. The signal is not driven by any source pin in the design. ERROR:PhysDesignRules:10 - The network is completely unrouted. ERROR:Bitgen:25 - DRC detected 2 errors and 0 warnings. Please s…

今日のエラー2

ERROR:Xst:2035 - Port has illegal connections. This port is connected to an input buffer and other components.BANKが違うpinを繋ぐとなる。以後気をつけるように。

今日のエラー1

今日のエラーって感じにシリーズ化しましょう。 ERROR:Xst:872 - "lab_design.v" line 325: Unsupported target. mig_32 ddr2_sdram( // SYSTEM .sys_rst_n (!PSWRST), .sys_clk_p (CLK333MP), .sys_clk_n (CLK333MN), .clk200_p (CLK200MP), .clk200_n (CLK…

未知なるエラー

ERROR:Place:864 - Incompatible IOB's are locked to the same bank 3 Conflicting IO Standards are: IO Standard 1: Name = LVCMOS25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR = OUTPUT, DRIVE_STR = NR List of locked IOB's: LED ERROR:Place:864 - …

GNDに繋がってるってうるさい

ERROR:Xst:2033 - Port I of Input buffer XLXI_2/u_mem_controller/u_ddr2_infrastructure/DIFF_ENDED_CLKS_INST.SYS_CLK_INST is connected to GND ERROR:Xst:2033 - Port IB of Input buffer XLXI_2/u_mem_controller/u_ddr2_infrastructure/DIFF_ENDED_C…

エラーは回避できないもの

ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB component: PAD symbol "CLK333MN" (Pad Signal = CLK333MN) SlaveBuffer symbol "mem_interface_top_infrastructure/lvds_sys_clk_input/SLAVEBUF.DIFFIN" (Output Signal = …

結局エラーですね。わかります。

死ねばいいのに。 ERROR:LIT:411 - IOBUFDS symbol "ddr2_sdram/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/gen_dqs_iob_ddr2.u_iobuf_dqs" (output signal=ddr2_sdram/u_ddr2_top_0/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u…

MIGで生成したものにエラー

1から作り直そうとMIGで生成されたものをそのままコンパイルしたんだけど MAPでエラーとか ERROR:Place:207 - Due to SelectIO banking constraints, the IOBs in your design cannot be automatically placed. ERROR:Pack:1654 - The timing-driven placem…

MIG

XilinxのCoreGeneratorだったかに MIG(メモリーインターフェースジェネレーター) ってのがあるのでメモリーに書き込んで遊ぼうかと思ったのですが。クロックが死ぬ。UCFファイルうざいわ ERROR:ConstraintSystem:59 - Constraint [mig_31/user_design/par/mi…

何もかも上手くいかない状況

Check Syntaxではエラーが発生しないが Synthesizeでエラーが発生する。 ERROR:Xst:528 - Multi-source in Unit on signal というエラーがズラーっと並ぶ Output port IOBUF:IO of instance Signal > in Unit is assigned to VCC電源につながってるってんな…