ハブ君の寝言

日記のような何か

2009-11-16から1日間の記事一覧

エラーは回避できないもの

ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB component: PAD symbol "CLK333MN" (Pad Signal = CLK333MN) SlaveBuffer symbol "mem_interface_top_infrastructure/lvds_sys_clk_input/SLAVEBUF.DIFFIN" (Output Signal = …