ハブ君の寝言

日記のような何か

2009-09-27から1日間の記事一覧

何もかも上手くいかない状況

Check Syntaxではエラーが発生しないが Synthesizeでエラーが発生する。 ERROR:Xst:528 - Multi-source in Unit on signal というエラーがズラーっと並ぶ Output port IOBUF:IO of instance Signal > in Unit is assigned to VCC電源につながってるってんな…