ハブ君の寝言

日記のような何か

めんどくさいから死にたい

今日のエラー


ERROR:ConstraintSystem:59 - Constraint
[c.ucf(33)]: NET "clk0" not found. Please verify that:
1. The specified design element actually exists in the original design.
2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint
[c.ucf(34)]: NET "clk90" not found. Please verify that:
1. The specified design element actually exists in the original design.
2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:58 - Constraint
[c.ucf(36)]: INST "*/u_phy_calib_0/gen_rd_data_sel*.u_ff_rd_data_sel" does
not match any design objects.
ERROR:ConstraintSystem:58 - Constraint
[c.ucf(40)]: INST "*/u_usr_rd_0/gen_rden_sel_mux*.u_ff_rden_sel_mux" does not
match any design objects.
ERROR:ConstraintSystem:58 - Constraint
[c.ucf(44)]: INST "*/u_phy_init_0/u_ff_phy_init_data_sel" does not match any
design objects.
ERROR:ConstraintSystem:58 - Constraint
[c.ucf(51)]: INST "*/u_phy_calib_0/gen_gate_dly*.u_ff_gate_dly" does not
match any design objects.
ERROR:ConstraintSystem:58 - Constraint
[c.ucf(53)]: INST "*/u_phy_calib_0/gen_rden_dly*.u_ff_rden_dly" does not
match any design objects.
ERROR:ConstraintSystem:58 - Constraint [c.ucf(56)]: INST
"*/u_phy_calib_0/gen_cal_rden_dly*.u_ff_cal_rden_dly" does not match any
design objects.
ERROR:ConstraintSystem:58 - Constraint [c.ucf(88)]: NET "*/u_phy_io_0/en_dqs*" does not match any design
objects.
ERROR:ConstraintSystem:58 - Constraint
[c.ucf(89)]: NET "*/u_phy_io_0/gen_dqs*.u_iob_dqs/en_dqs_sync" does not match
any design objects.