ハブ君の寝言

日記のような何か

エラーは回避できないもの


ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB
component:
PAD symbol "CLK333MN" (Pad Signal = CLK333MN)
SlaveBuffer symbol
"mem_interface_top_infrastructure/lvds_sys_clk_input/SLAVEBUF.DIFFIN" (Output
Signal = mem_interface_top_infrastructure/lvds_sys_clk_input/SLAVEBUF.DIFFIN)
SlaveBuffer symbol
"ddr2_sdram/u_ddr2_infrastructure/DIFF_ENDED_CLKS_INST.SYS_CLK_INST/SLAVEBUF.
DIFFIN" (Output Signal =
ddr2_sdram/u_ddr2_infrastructure/DIFF_ENDED_CLKS_INST.SYS_CLK_INST/SLAVEBUF.D
IFFIN)
The component already has an input slave buffer.