ハブ君の寝言

日記のような何か

暑い死ぬ

QuartusIIは無理だとあきらめて、イカロスをインスコ

しかし、Program Filesの半角スペースが無理オワタだったので、c:\Icarus_Verilog に入れる。

先回の加算器も問題なく動いて


x y s time
0 0 0 0
0 1 1 50
1 0 1 100
1 1 0 150

と結果が出た。完璧だね☆

さて、次は何を書きましょうか…。

簡単にレジスタとALUを書くのがセオリーですね。がんばります。