ハブ君の寝言

日記のような何か

今日のエラー

ERROR:Xst:528 - Multi-source in Unit on signal

always @(posedge ClockA) begin
 a <= 1;
end
always @(posedge ClockB) begin
 if (a = 1) begin
  a <= 0;
 end
end

ってやったらダメだよね…
どうしよう…
reg増やして

reg a;
reg b;
always @(posedge ClockA) begin
 if (b == 0) begin
  a <= 1;
 end
 else begin
  a <= 0;
 end
end
always @(posedge ClockB) begin
 if (a == 1) begin
  b <= 1;
 end
 else begin
  b <= 0;
 end
end

って感じにした。